close

Abstract


        Verilog語法介紹,在使用前必須先宣告暫存器的位元數大小與數量,在此將介紹幾個常用的定義方式。


 


Introduction


        Verilog語法中的陣列(Arrays)表示法,說明如下:


1)      陣列的內容可以是:整數、暫存資料以及向量。


2)      HDL只能用於描述一維陣列的表示法,不能描述多維陣列。


3)      陣列是多個1位元或若干個位元的元件。


 


reg          [15:0] Word;                   // 116位元(Bits)的暫存器(Register)


reg          Mem1Bit[1023:0]           // 10241位元(Bits)的暫存器(Register)


reg          [7:0]RegFile[1023:0]       // 10248位元(Bits)的暫存器(Register)

arrow
arrow
    全站熱搜

    jk3527101 發表在 痞客邦 留言(0) 人氣()